• création graphique
  • Conference Program
     


  • Thursday, March 15th 2012

    09.00 Welcome - Didier Louis, Chairman
    SESSION 1 - Plasma Etching for CMOS
    09.20 Efraín Altamirano-Sánchez, Vasile Paraschiv and Werner Boullart - Invited
    IMEC, Kapeldreef 75, 3001 Leuven, Belgium
    FEOL etch challenges, from planar Metal Gates towards FinFET devices
    10.00 A. P. Milenina, R. Athimulama, M. Demanda, and B. Coenegrachtsb
    a IMEC, Kapeldreef 75, 3001 Heverlee, Belgium
    b Lam Research Corp., Kapeldreef 75, B-3001 Leuven, Belgium

    Fluorocarbon-based passivation in STI plasma etching
    10.20 G. Kokkoris, V. Constantoudis and E. Gogolides
    Institute of Microelectronics NCSR Demokritos, Aghia Paraskevi, Attiki, Greece, 15310
    3D modeling of Line Edge Roughness transfer from the resist to the underlying substrate: The effect of resist roughness
    10.40 Coffee break
    11.20 P. Bodarta, G. Cungea, C. Petit-Etiennea, M. Darnona, M. Haassa, S. Bannab, O.Jouberta and T.Lillb
    a CNRS-LTM, 17 rue des Martyrs, 38054 Grenoble Cedex, France
    b Applied Materials, 974E Arques Ave. Sunnyvale, CA, 95085, USA

    SiCl4/Cl2 plasmas: a new chemistry to etch high-k material selectively to Si-based alloys
    11.40 J.-F. de Marneffea, R. Ljazoulib, L. Souriaua, L. Zhanga, C. Wilsona and M. R. Baklanova
    a IMEC v.z.w., Kapeldreef 75, Leuven, B-3001, Belgium
    b Polytech’Orleans, rue de Blois 12, Orleans cedex2, 45067, France

    Study of damage caused by non-reactive Ar plasma on an organic low-k material
    12.00 Lunch break
    SESSION 2 - New Processes and New Materials
    13.20 Marc Segers, Gilles Baujon, Julien Richard, Vincent Girault and Emmanuel Guidotti - Invited
    Nanoplas, Centre Scientifique d’Orsay - Bâtiment 503, Orsay, 91401, France
    High Density Radical Flux applications for MEMS, LEDs and 3D-IC
    14.00 T. Chevolleaua, G. Cungea, X. Chevalierb,c, R. Tironb, M. Darnona, C. Navarrod and S. Magnetd
    a LTM-CNRS, CEA-Leti, 17 Rue des Martyrs, 38054 Grenoble cedex, France
    b CEA-Leti, MINATEC, 17 Rue des Martyrs, 38054 Grenoble, cedex 9, France
    c LCPO-UMR 5629 Université Bordeaux I-CNRS-Institut Polytechnique de Bordeaux,
    Bâtiment 8, Avenue des Facultés – 33405 Talence cedex - France
    d ARKEMA FRANCE, Route Nationale 117, BP34- 64170 Lacq, France

    Self assembly patterning using block copolymer for advanced CMOS technology
    14.20 G. Mannaert, V. Paraschiv, B. De Jaeger, M. Van Hove, M. Demand, S. Decoutere and W. Boullart
    IMEC v.z.w., Kapeldreef 75, Leuven, B-3001, Belgium
    Development of (Al)GaN recess etch for E-mode POWER HEMTs
    14.40 A. Taverniera, L. Favenneca, T. Chevolleaub and V. Jousseaumec
    a STMicroelectronics, 850 rue Jean Monnet, 38926 Crolles, France
    b LTM-CNRS/UJF (CEA, Leti, MINATEC), 17 Rue des Martyrs, 38054 Grenoble Cedex 09, France
    c CEA-Leti, Minatec campus, 17 rue des Martyrs, 38054 Grenoble Cedex 09, France

    Innovative gap-fill strategy for 28 nm shallow trench isolation using etch-back process
    SESSION 3 - Plasma Induced Damage
    15.00 Francesca Iacopia,b, Sven Staussa, Kazuo Terashimaa and Mikhail R. BaklanovcInvited
    a Dept.of Advanced Materials Science, Graduate School of Frontier Sciences, The University of Tokyo, 5-1-5 Kashiwanoha, Kashiwa-shi, Chiba 277-8561, Japan
    b presently at the Queensland Micro and Nanotechnology Centre, Griffith University, 4111 Nathan, QLD, Australia,
    c Inter-university Microelectronics Center, IMEC, Kapeldreef 75, 3001 Leuven, Belgium

    Cryogenic approaches to low-damage patterning of porous low-k films
    15.40 K. Eriguchi, Y. Nakakubo, A. Matsuda, Y. Takao and K. Ono
    Graduate School of Engineering, Kyoto University Yoshida-Honmachi, Sakyo-ku, Kyoto 606-8501, Japan
    Unifed Model-Prediction Framework for MOSFET Performance Degradation by Plasma-Induced Si Damage and its Application to Process Parameter Optimization
    16.00 A. Matsuda, Y. Nakakubo, Y. Takao, K. Eriguchi and K. Ono
    Kyoto University, Yoshida-Honmachi, Sakyo-ku, Kyoto, 606-8501, Japan
    Optical Characterization of Plasma-Induced Si Damage by
    Ar and Cl2 Inductively Coupled Plasmas
    16.20 A. M. Myersa, K. J. Singha, M. J. van Veenhuizena and C. K. Manb
    a Intel Corporation, 2501 NW 229th Ave, Hillsboro, OR 97124
    b LAM Research Corporation, 4650 Cushing Parkway  Fremont, CA 94538

    Mechanistic Understanding of Line Undulation as a Function of Ash-induced Structural Changes in a Porous Carbon-doped-Oxide Dielectric
    POSTER SESSION
    16.40 Poster session
    1.  M. S. B. Castroa and S. Barnolab
    a Centro Tecnologico do Exercito, Avenida das Americas 28705, Rio de Janeiro, 23020-470, Brazil
    b Laboratoire d’Electronique et de Technologies de l’Information, 17 rue des Martyrs, Grenoble, 38054, France

    Selective anisotropic plasma etching of Ge on Si
    2.  S. Yanovicha, M. Baklanovb, O. Gushchina, E. Gorneva and A. Danilaa
    a MERI JSC, 12/1 1st Zapadny Proezd, Zelenograd, Moscow, 124460, Russia
    b IMEC, Kapeldreef 75, Leuven, B-3001, Belgium

    Application of fully fluorinated cyclic saturated hydrocarbons for highly selective nanoscale silicon dioxide reactive ion etching
    3.  S. Yanovicha, M. Baklanovb, S. Orlova, O. Gushchina, N. Zaitseva, P. Ignatova and R. Yafarovc
    a MERI JSC, 12/1 1st Zapadny Proezd, Zelenograd, Moscow, 124460, Russia
    b IMEC, Kapeldreef 75, Leuven, B-3001, Belgium
    c RAS, Kotel'nikov Institute of Radio Engineering and Electronics, Saratov, Russia

    Maskless Fabrication of High Density Silicon Nano-Pin Structures With Carbon Nano Clusters Acting as Mask for Subsequent Microwave Silicon Etching.
    4.  A. Zeniou, A. Smyrnakis and E. Gogolides
    Institute of Microelectronics, NCSR “Demokritos”, Aghia Paraskevi 15310
    High-aspect-ratio Si nanowire fabrication using Colloidal self- assembly and fluorine-based plasma etching
    5.  E. Danilkin, A. Polyakov, O. P. Gutshin, A. Chamov, V. Hanin, E. Smirnov and G. Y. Krasnikov
    MIKRON JSC, 12/1 Pervyi Zapadny Proezd, Zelenograd, 124460 Moscow, Russia
    Etching of deep trenches in Si for non-planar power MOSFETs using HBr/O2/SF6 plasma
    6.  D. Belhareta,b, P. F. Calmona,b, P. Dubreuila,b, J. Tassellia,b and H. Graniera,b
    a CNRS ; LAAS ; 7 avenue du colonel Roche, F-31077 Toulouse, France
    b Université de Toulouse ; UPS, INSA, INP, ISAE ; UT1, UTM, LAAS ; F-31077 Toulouse, France

    Plasma etching of multilevel silicon structures by deep reactive ion etching process
    7.  V. Lukichev, V. Kalnov, I. Amirov, E. Zhikharev, K. Rudenko and A. Orlikovsky
    Institute of Physics and Technology RAS, 36/1 Nakhimovskii av., Moscow, 117218, Russia
    Aperture effect as a tool for fabrication 3-D photonic crystals
    8.  G. Y. Krasnikova, A. S. Valeeva, V. A. Vasiljevb*, K. A. Vorotilovb, P. I. Kuznetsova, D. S. Sereginb and E. V. Danilkina
    a JSC MIKRON, 12/1 1-y Zapadny Proezd, Zelenograd, Moscow, 124460 Russia
    b MIREA, 78, Vernadsky Avenue, Moscow, 119454, Russia

    Formation conductors with porous ultra Low-K dielectric for multilevel metallization VLSI
    9.  K. S. Mina, C. K. Kima, J. K. Kima,b and G. Y. Yeoma
    a Department of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon, Kyunggi-do, 440-746, South Korea,
    b Process Technology Team, Semiconductor R&D Center Samsung Electronics Co., Ltd., South Korea

    A Low Plasma-Induced Damaged Neutral Beam Etching for Metal Gate in Sub-32nm Metal Gate/High-k Dielectric CMOSFETs
    10.  I. V. Schweigert and A. L. Aleksandrov
    Institute of Theoretical and Applied Mechanics SB RAS, 630090 Novosibirsk, Russia
    Effect of nanoparticles on rf discharge afterglow
    11.  N. Škoro and E. Gogolides
    Institute of Microelectronics, NCSR Demokritos, Aghia Paraskevi, Attiki 15310, Greece
    Characterization of Hydrogen-based Plasmas for Cleaning of Organic Contamination from EUV Optics
    12.  J. K. Kima,c, S. S. Jeonga, S. W. Nama, K. S. Minc, C. K. Kimc, B. S. Kimb and G. Y. Yeomc
    a Process Technology Team, Semiconductor R&D Center Samsung Electronics Co., Ltd., 445-701, South Korea
    b Department of Information and Communication Engineering , Sungkyunkwan University, Suwon, Gyeonggi-do, 440-746, South Korea
    c Department of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon, Gyeonggi-do, 440-746, South Korea

    A study on the etching characteristics of organic layer in oxygen plasma with carbonyl sulfide
    13.  M. F. Pistoni, S. Paolillo and I. Venegoni
    STMicroelectronics, Competence Centre – Technology R&D Agrate Brianza (MB) – Italy
    Contact Module Definition for 110 nm Technology Node on BCD Platforms
    14.  M. F. Pistoni, P. Bernardinello and S. Colombo
    STMicroelectronics, Competence Centre – Technology R&D Agrate Brianza (MB) – Italy
    Active Area Definition for 80 nm Embedded Devices with Phase Change Memory and Logic
    19.00 End of day


  • Friday, March 16th 2012

    SESSION 4 - Plasma Fundamentals
    08.40 Alex Paterson, John Holland, Keren Kanarik, Gowri Pamarthy, Jun Belen and Chris Lee - Invited
    Lam Research Corporation, 4650 Cushing Parkway, Fremont, CA, 94538, USA
    High-Definition Etching Approaches
    09.20 J.-P. Booth, P. Chabert, Y. Azamoum and N. Sirse
    LPP-CNRS, Ecole Polytechnique, 91128 Palaiseau, France
    Chlorine atom and molecule dynamics in an inductively coupled plasma in pure Cl2
    09.40 S; Tincka, A. Bogaertsa and W. Boullartb
    a University of Antwerp, Universitetisplein 1, 2610 Wilrijk, Belgium
    b IMEC, Kapeldreef 75, 3100 Leuven, Belgium

    Computer simulations of SiCl4/O2 ICP Discharges used for Coatings Deposition or mask damage recovery
    10.00 S. Lopez-Lopeza, J. J. Munrob, A. I. Williamsa and J. Tennysona
    a Department of Physics and Astronomy, University College London, Gower St., London WC1E 6BT, UK
    b Quantemol Ltd.

    Simulations of SF6 Plasma Etching in the GEC Reference Cell
    10.20 Coffee break
    SESSION 5 - Plasma Surface Interactions
    11.00 Hiroshi Yamamoto, Kohei Asano, Makoto Sekine, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo and Masaru Hori – Invited
    Graduate School of Engineering, Nagoya University, Nagoya 464-8603 Japan
    In-situ Analysis of Plasma-Induced Modification of Porous SiOCH film
    11.40 M. Brihoum, K. Menguelti, G. Cunge, E. Pargon and O. Joubert
    LTM CNRS, 17 avenue des Martyrs, Grenoble, 38054, France
    Impact of HBr pulsed plasma cure on 193 nm resist LWR reduction and etch resistance improvement
    12.00 S. Zhao and A. Bogaerts
    Research group PLASMANT, University of Antwerp, Universiteitsplein 1, Antwerp-Wilrijk, B-2610, Belgium
    Influence of etching products on the bulk plasma and the etching characteristics in a CF4/Ar inductively coupled plasma
    12.20 M. Haassa, M. Darnona, E. Pargona, C. Petit-Etiennea, L. Valliera, P. Bodarta, G. Cungea, S. Bannab, T. Lillb and O. Jouberta
    a LTM-CNRS, 17 rue des Martyrs, 38054 Grenoble Cedex, France
    b Applied Materials, Inc., 974E Arques Ave. Sunnyvale, CA, 95085, USA

    Analysis of Passivation Layer Composition and Thickness on Silicon Patterns Etched by Synchronously Pulsed Plasmas
    12.40 Lunch break
    SESSION 6 - Memories
    14.00 Kenneth MacKaya, Jérémy Pereiraa, Michael Darquesb, Erwine Pargonb,
    Erwan Gapihana and Olivier Joubertb - Invited

    a Crocus Technology, 4 place Robert Schuman, BP1510, 38025 Grenoble Cedex 1, France
    b LTM-UMR 5129 CNRS, 17 avenue des martyrs, 38054 Grenoble Cedex 9 France.

    Process challenges of MRAM technology integration
    14.40 E. Vecchio, G. S. Kar, B. Govoreanu, M. Jurczak, W. Boullart and V. Paraschiv
    IMEC, Kapeldreef 75, 3001 Leuven, Belgium
    Patterning of Hf/HfOx Resistive RAM down to 20-nm CD
    15.00 B. Salhia, T. Chevolleaua, C. Viziozb, C. Jahanb, S. Maitrejeanb, C. Valléea, T. Barona and O. Jouberta
    a LTM – CNRS/UJF (CEA, Leti, MINATEC), 17, rue des martyrs, 38054 Grenoble Cedex, France,
    b CEA-Leti, MINATEC, 17 rue des martyrs, 38054 Grenoble Cedex, France

    Patterning of GexSbyTez for Non volatile Phase-Change Memory Applications
    15.20 Closing remarks


  • For further information

    Registration Organization
    INSIGHT OUTSIDE
    39 chemin du vieux chêne - 38240 Meylan
    Tel : +33 825 595 525 (0,15€/min*) - Fax : +33 4 38 38 18 19
    Email : pesm2012_registration@insight-outside.fr
    Website : http://www.insight-outside.fr

    Information desk
    monday to friday from 2:00pm to 5:00pm
    CNRS-LTM c/o CEA-Leti
    17 Rue des Martyrs
    38054 Grenoble Cedex

    Contact : Maxime DARNON
    Tel : +33 438 783 511
    Email : maxime.darnon@cea.fr


       




    (*) Prices starting from fixed line incumbent, a surcharge may be applied by operators, counting the second after the first 45 seconds.

    According to France's Personal Data Privacy Act in effect since June 2004, you may send a request in writing to INSIGHT OUTSIDE 39 chemin du vieux chêne, 38240 Meylan / France, or by email webmaster@insight-outside.fr to access your file in order to consult, modify and/or delete your personal information.

    © 2005 - 2011 Insight Outside